Where academic tradition
meets the exciting future

Skewing-Based Method for Reduction of Functional Crosstalk and Power Supply Noise Caused by On-Chip Buses

Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen, Skewing-Based Method for Reduction of Functional Crosstalk and Power Supply Noise Caused by On-Chip Buses. IET Computers & Digital Techniques to appear, n/a yet, 2011.

Abstract:

In this paper, we present an optimization method based on analytical RLC models for simultaneous reduction of both functional crosstalk noise and power supply noise caused by on-chip buses. This is achieved by intentional skewing of the relative timing of adjacent wires. The method is applicable to any number of bus wires and it takes into account both capacitive and inductive coupling
between wires. We model the eff ect of skewing on both functional crosstalk
in a distributed RLC bus and the power noise in the surrounding RLC power
distribution network. The model is verified by comparing it to HSPICE in 65 nm technology, with the average error being 1.4%. The capability of the method in reducing problematic long range inductive crosstalk noise is demonstrated in a case study where the maximum crosstalk noise is reduced from 0.20 V to 0.05 V. Implementation and the use of the method in combination with other crosstalk reduction methods and power supply noise reduction methods are presented. The influence of the number of di fferent skewing times is analysed.

BibTeX entry:

@ARTICLE{jTuIsTe11a,
  title = {Skewing-Based Method for Reduction of Functional Crosstalk and Power Supply Noise Caused by On-Chip Buses},
  author = {Tuuna, Sampo and Isoaho, Jouni and Tenhunen, Hannu},
  journal = {IET Computers & Digital Techniques},
  volume = {to appear},
  pages = {n/a yet},
  year = {2011},
}

Belongs to TUCS Research Unit(s): Communication Systems (ComSys)

Edit publication